CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC VHDL

搜索资源列表

  1. FPGA控制AD程序,ADC,DAC转换接口

    2下载:
  2. FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar,FPGA control AD procedure
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:269105
    • 提供者:黄群
  1. liuVHDL.rar

    0下载:
  2. 一种基于状态机设计的串并行转换电路,将LTC1196(ADC)的串行输出数据转换成并行数据的转换电路, ADC的时钟由转换电路提供,,Design a state machine based on parallel conversion circuit of the series will be LTC1196 (ADC) output of the serial data into parallel data conversion circuit, ADC clock provided by
  3. 所属分类:Com Port

    • 发布日期:2017-03-31
    • 文件大小:12167478
    • 提供者:刘广清
  1. adc

    0下载:
  2. communication spi adc for spartan 3e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4295
    • 提供者:hung
  1. ADC

    1下载:
  2. 关于ADC的硬体描述语言, 已经验证过了-On the ADC hardware descr iption language, has already been verified
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:10027
    • 提供者:
  1. adc

    2下载:
  2. vhdl实现对模数转换芯片adc0832的控制,程序采用的是状态编码输出.-VHDL realization of analog-digital conversion chip adc0832 control, procedures using state of the output encoding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-01-22
    • 文件大小:25334
    • 提供者:liaocongliang
  1. ADC_INTERFACE

    0下载:
  2. it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit. -it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6857
    • 提供者:yasir ateeq
  1. VHDL

    0下载:
  2. DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:736095
    • 提供者:wang
  1. adc

    0下载:
  2. 实现MAX187单通道12位串行输出AD器件采样时序的生成。-use MAX187 to sample
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:890896
    • 提供者:潘旺
  1. ADCDAC

    0下载:
  2. ADC,DAC转换接口~耐心讲述可以学会使用原理-ADC, DAC converter interface ~ patience can be learned about the use of the principle of
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:171075
    • 提供者:dengaoni
  1. liuVHDL

    0下载:
  2. 一种基于状态机设计的串并行转换电路,将LTC1196(ADC)的串行输出数据转换成并行数据的转换电路, ADC的时钟由转换电路提供,-Design a state machine based on parallel conversion circuit of the series will be LTC1196 (ADC) output of the serial data into parallel data conversion circuit, ADC clock provided by
  3. 所属分类:Com Port

    • 发布日期:2017-04-08
    • 文件大小:52715
    • 提供者:刘广清
  1. xapp345_vhdl

    0下载:
  2. adc转换功能的vhdl源码,其中包含adc_interface 和转换还包含串口输出-adc tranfer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:36009
    • 提供者:jiangpeng
  1. ADC

    0下载:
  2. 对AD0809进行操作,将AD转换后的结果直接对对8个发光二极管进行赋值,程序有详细的注释!-Operation of the AD0809 will be the result of AD conversion directly against eight light-emitting diodes for assignment, procedures detailed notes!
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:343657
    • 提供者:高培
  1. DM7_COLR_LCD_C5T

    0下载:
  2. 任意信号波形采样和频谱分析演示文件 ADC信号采样、RS232串行通信和频谱分析 增加ADC采样控制模块,接上ADC,即可把模拟信号采入PC机上显示,和相应的频谱分析。 -Arbitrary signal waveforms and spectral analysis of the sampling ADC signal sample presentation, RS232 serial communication and increase the ADC sampling freq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:42450
    • 提供者:邢旭
  1. adc_vhdl.tar

    0下载:
  2. control adc vhdl code spartan 3e starter board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:13976
    • 提供者:lefteris
  1. ADC_AMP

    0下载:
  2. VHDL code for ADC on Spartan 3E starter kit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1543
    • 提供者:vuu
  1. spartan3e_test

    0下载:
  2. Drive for ADC-DAC POR FPGA SPARTAN 3E STARTER KIT
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-06
    • 文件大小:2030
    • 提供者:FPGA666
  1. AD0809-VHDL

    0下载:
  2. ADC0809模数转换器 VHDL 代码,用数码管显示值-ADC0809 ADC VHDL code, with digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:980
    • 提供者:zcp2403
  1. adc

    0下载:
  2. 基于vhdl语言的adc调试程序,程序可移植性强,经过实际检测正确-Based on the adc vhdl language debugger, program portability, right after the actual testing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1627
    • 提供者:郭悦
  1. ADC

    0下载:
  2. vhdl analog digital converter
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:1024
    • 提供者:scuk
  1. adc

    0下载:
  2. VHDL code of adc and interfacing with Spartan 3E FPGA Board
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-31
    • 文件大小:1024
    • 提供者:Shoaib14
« 12 3 4 5 6 »
搜珍网 www.dssz.com